/home/autobuild/autobuild/instance-15/output-1/target/usr/lib/pkgconfig /home/autobuild/autobuild/instance-15/output-1/target/usr/share/pkgconfig \ /home/autobuild/autobuild/instance-15/output-1/target/usr/lib/cmake /home/autobuild/autobuild/instance-15/output-1/target/usr/share/cmake \ /home/autobuild/autobuild/instance-15/output-1/target/usr/doc find /home/autobuild/autobuild/instance-15/output-1/target/usr/{lib,share}/ -name '*.cmake' -print0 | xargs -0 rm -f find /home/autobuild/autobuild/instance-15/output-1/target/lib/ /home/autobuild/autobuild/instance-15/output-1/target/usr/lib/ /home/autobuild/autobuild/instance-15/output-1/target/usr/libexec/ \ \( -name '*.a' -o -name '*.la' -o -name '*.prl' \) -print0 | xargs -0 rm -f rm -rf /home/autobuild/autobuild/instance-15/output-1/target/usr/share/gdb rm -rf /home/autobuild/autobuild/instance-15/output-1/target/usr/share/bash-completion rm -rf /home/autobuild/autobuild/instance-15/output-1/target/etc/bash_completion.d rm -rf /home/autobuild/autobuild/instance-15/output-1/target/usr/share/zsh rm -rf /home/autobuild/autobuild/instance-15/output-1/target/usr/man /home/autobuild/autobuild/instance-15/output-1/target/usr/share/man rm -rf /home/autobuild/autobuild/instance-15/output-1/target/usr/info /home/autobuild/autobuild/instance-15/output-1/target/usr/share/info rm -rf /home/autobuild/autobuild/instance-15/output-1/target/usr/doc /home/autobuild/autobuild/instance-15/output-1/target/usr/share/doc rm -rf /home/autobuild/autobuild/instance-15/output-1/target/usr/share/gtk-doc rmdir /home/autobuild/autobuild/instance-15/output-1/target/usr/share 2>/dev/null || true rm -rf /home/autobuild/autobuild/instance-15/output-1/target/lib/debug /home/autobuild/autobuild/instance-15/output-1/target/usr/lib/debug find /home/autobuild/autobuild/instance-15/output-1/target -type f \( -perm /111 -o -name '*.so*' \) -not \( -name 'libpthread*.so*' -o -name 'ld-*.so*' -o -name '*.ko' \) -print0 | xargs -0 /bin/true 2>/dev/null || true find /home/autobuild/autobuild/instance-15/output-1/target \( -name 'ld-*.so*' -o -name 'libpthread*.so*' \) -print0 | xargs -0 -r /bin/true 2>/dev/null || true test -f /home/autobuild/autobuild/instance-15/output-1/target/etc/ld.so.conf && \ { echo "ERROR: we shouldn't have a /etc/ld.so.conf file"; exit 1; } || true test -d /home/autobuild/autobuild/instance-15/output-1/target/etc/ld.so.conf.d && \ { echo "ERROR: we shouldn't have a /etc/ld.so.conf.d directory"; exit 1; } || true mkdir -p /home/autobuild/autobuild/instance-15/output-1/target/etc ( \ echo "NAME=Buildroot"; \ echo "VERSION=2022.02-474-gb5f07f62eb"; \ echo "ID=buildroot"; \ echo "VERSION_ID=2022.05-git"; \ echo "PRETTY_NAME=\"Buildroot 2022.05-git\"" \ ) > /home/autobuild/autobuild/instance-15/output-1/target/usr/lib/os-release ln -sf ../usr/lib/os-release /home/autobuild/autobuild/instance-15/output-1/target/etc >>> Sanitizing RPATH in target tree PER_PACKAGE_DIR=/home/autobuild/autobuild/instance-15/output-1/per-package /home/autobuild/autobuild/instance-15/buildroot/support/scripts/fix-rpath target touch /home/autobuild/autobuild/instance-15/output-1/target/usr >>> Generating root filesystems common tables rm -rf /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs mkdir -p /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs printf ' davfs2 -1 davfs2 -1 * - - - davfs user\n - - sudo -1 - - - -\n\n' >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_users_table.txt printf ' /bin/busybox f 4755 0 0 - - - - -\n /usr/bin/sudo f 4755 0 0 - - - - -\n\n' > /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_devices_table.txt cat system/device_table.txt >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_devices_table.txt >>> Generating filesystem image rootfs.cloop mkdir -p /home/autobuild/autobuild/instance-15/output-1/images rm -rf /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop mkdir -p /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop rsync -auH --exclude=/THIS_IS_NOT_YOUR_ROOT_FILESYSTEM /home/autobuild/autobuild/instance-15/output-1/target/ /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target echo '#!/bin/sh' > /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot echo "set -e" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot echo "chown -h -R 0:0 /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot PATH="/home/autobuild/autobuild/instance-15/output-1/host/bin:/home/autobuild/autobuild/instance-15/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" /home/autobuild/autobuild/instance-15/buildroot/support/scripts/mkusers /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_users_table.txt /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot echo "/home/autobuild/autobuild/instance-15/output-1/host/bin/makedevs -d /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_devices_table.txt /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot echo "find /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/run/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot echo "find /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/tmp/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot printf ' /home/autobuild/autobuild/instance-15/output-1/host/bin/genisoimage -r /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target | /home/autobuild/autobuild/instance-15/output-1/host/bin/create_compressed_fs - 65536 > /home/autobuild/autobuild/instance-15/output-1/images/rootfs.cloop\n' >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot chmod a+x /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot PATH="/home/autobuild/autobuild/instance-15/output-1/host/bin:/home/autobuild/autobuild/instance-15/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" FAKEROOTDONTTRYCHOWN=1 /home/autobuild/autobuild/instance-15/output-1/host/bin/fakeroot -- /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/fakeroot rootdir=/home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target table='/home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_devices_table.txt' 8 processor core(s) detected Warning, number as file string found. Assuming old command syntax and choosing compatible parameters (-m -B 65536). See the usage info (-h) for better/correct parameters. Block size 65536, expected number of blocks: 2147483647 Using JQUER000.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.flot.canvas.js (jquery.flot.js) Using JQUER001.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-all.js (jquery.keyboard.min.js) Using JQUER002.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.min.js (jquery.keyboard.extension-navigation.js) Using JQUER003.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-navigation.js (jquery.keyboard.extension-all.min.js) Using JQUER004.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-all.min.js (jquery.keyboard.js) Using JQUER005.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery-ui-i18n.js (jquery-ui.js) Using JQUER006.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.js (jquery.keyboard.extension-mobile.min.js) Using JQUER007.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-mobile.min.js (jquery.keyboard.extension-navigation.min.js) Using JQUER008.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.flot.js (jquery.flot.time.js) Using JQUER009.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-navigation.min.js (jquery.keyboard.extension-autocomplete.js) Using JQUER00A.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-autocomplete.js (jquery.keyboard.extension-typing.js) Using JQUER00B.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-typing.js (jquery.keyboard.extension-typing.min.js) Using JQUER00C.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-typing.min.js (jquery.keyboard.extension-scramble.min.js) Using JQUER00D.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-scramble.min.js (jquery.keyboard.extension-mobile.js) Using JQUER00E.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-mobile.js (jquery.keyboard.extension-scramble.js) Using JQUER00F.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/jquery.keyboard.extension-scramble.js (jquery.keyboard.extension-autocomplete.min.js) Using UI_IC000.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-icons_222222_256x240.png (ui-icons_454545_256x240.png) Using UI_IC001.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-icons_454545_256x240.png (ui-icons_cd0a0a_256x240.png) Using UI_BG000.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-bg_glass_65_ffffff_1x400.png (ui-bg_glass_55_fbf9ee_1x400.png) Using UI_BG001.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-bg_flat_75_ffffff_40x100.png (ui-bg_flat_0_aaaaaa_40x100.png) Using UI_IC002.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-icons_cd0a0a_256x240.png (ui-icons_2e83ff_256x240.png) Using UI_IC003.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-icons_2e83ff_256x240.png (ui-icons_888888_256x240.png) Using UI_BG002.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-bg_glass_55_fbf9ee_1x400.png (ui-bg_glass_75_dadada_1x400.png) Using UI_BG003.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-bg_glass_75_dadada_1x400.png (ui-bg_glass_95_fef1ec_1x400.png) Using UI_BG004.PNG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/images/ui-bg_glass_95_fef1ec_1x400.png (ui-bg_glass_75_e6e6e6_1x400.png) Using HUNGA000.JS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/var/www/layouts/hungarian.js (hungarianansi.js) Using KEEPA000.MIS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/etc/keepalived/samples/keepalived.conf.misc_check (keepalived.conf.misc_check_arg) Using KEEPA000.STA;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/etc/keepalived/samples/keepalived.conf.status_code (keepalived.conf.vrrp.static_ipaddress) Using KEEPA000.VIR;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/etc/keepalived/samples/keepalived.conf.virtual_server_group (keepalived.conf.virtualhost) Using PPPOE000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/sbin/pppoe-start (pppoe-stop) Using PPPOE001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/sbin/pppoe-server (pppoe-setup) Using PPPOE002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/sbin/pppoe-stop (pppoe-status) Using SMCRO000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/sbin/smcroutectl (smcrouted) Using TINYS000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/sbin/tinysshd (tinysshd-makekey) Using TINYS001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/sbin/tinysshd-makekey (tinysshd-printkey) Using LIBNE000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libnetfilter_cthelper.so.0.0.0 (libnetfilter_cthelper.so.0) Using LIBAT000.SO;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libatomic_ops_gpl.so (libatomic_ops.so) Using LIBUS000.4;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libusb-0.1.so.4.4.4 (libusb-0.1.so.4) Using LIBMP000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libmpeg2convert.so.0.0.0 (libmpeg2convert.so.0) Using LIBGE000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libgensio.so.0 (libgensio.so.0.0.0) Using _LIBK000.HMA;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/.libkcapi.so.1.1.5.hmac (.libkcapi.so.hmac) Using LIBMP001.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libmpeg2convert.so.0 (libmpeg2.so.0.1.0) Using LIBAT000.1;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libatomic_ops.so.1 (libatomic_ops_gpl.so.1) Using LIBAP000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libapr-1.so.0.7.0 (libapr-1.so.0) Using LIBMP000.SO;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libmpeg2convert.so (libmpeg2.so) Using LIBGS000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libgslcblas.so.0.0.0 (libgslcblas.so.0) Using LIBPC000.1;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libpcap.so.1 (libpcap.so.1.10.1) Using LIBFR000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libfreefare.so.0.0.0 (libfreefare.so.0) Using LIBSP000.1;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libspeex.so.1.5.1 (libspeex.so.1) Using LIBPC000.SO;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libpcre2-8.so (libpcre2-posix.so) Using LIBMN000.2;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libmng.so.2.0.2 (libmng.so.2) Using LIBCR000.SO;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libcrypto.so (libcrypt.so) Using LIBTP000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libtpl.so.0.0.0 (libtpl.so.0) Using LIBAT001.1;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libatomic_ops_gpl.so.1 (libatomic_ops.so.1.1.1) Using LIBGP000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libgpg-error.so.0.32.0 (libgpg-error.so.0) Using LIBTU000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libturbojpeg.so.0.2.0 (libturbojpeg.so.0) Using _LIBK001.HMA;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/.libkcapi.so.hmac (.libkcapi.so.1.hmac) Using LIBNF000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libnfnetlink.so.0 (libnfnetlink.so.0.2.0) Using LIBMP002.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libmpeg2.so.0.1.0 (libmpeg2.so.0) Using LIBMN000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libmnl.so.0.2.0 (libmnl.so.0) Using LIBUS000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/libusb-1.0.so.0 (libusb-1.0.so.0.3.0) Using BUF_S000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/buf-size.lv2/buf-size.ttl (buf-size.meta.ttl) Using PORT_000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/port-groups.lv2/port-groups.meta.ttl (port-groups.ttl) Using INSTA000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/instance-access.lv2/instance-access.meta.ttl (instance-access.ttl) Using PORT_000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/port-props.lv2/port-props.ttl (port-props.meta.ttl) Using ATOM_000.C;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/atom.lv2/atom-test.c (atom-test-utils.c) Using RESIZ000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/resize-port.lv2/resize-port.meta.ttl (resize-port.ttl) Using DYNMA000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/dynmanifest.lv2/dynmanifest.meta.ttl (dynmanifest.ttl) Using PARAM000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/parameters.lv2/parameters.ttl (parameters.meta.ttl) Using DATA_000.TTL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/data-access.lv2/data-access.meta.ttl (data-access.ttl) Using APR_C000.SO;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/lib/apr-util-1/apr_crypto_openssl.so (apr_crypto_openssl-1.so) Using NFC_E000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/nfc-emulate-tag (nfc-emulate-uid) Using MIFAR000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-read-ndef (mifare-desfire-ev1-configure-random-uid) Using MIFAR001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-ev1-configure-random-uid (mifare-desfire-access) Using MIFAR002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-access (mifare-desfire-ev1-configure-ats) Using FB_TE000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/fb-test-perf (fb-test-offset) Using MDIOB000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mdioblock (mdioblock2) Using NFC_E001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/nfc-emulate-uid (nfc-emulate-forum-tag2) Using MIFAR003.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-ev1-configure-ats (mifare-desfire-ev1-configure-default-key) Using MIFAR004.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-ev1-configure-default-key (mifare-desfire-create-ndef) Using MIFAR005.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-classic-format (mifare-classic-write-ndef) Using INOTI000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/inotifywatch (inotifywait) Using FB_TE001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/fb-test-offset (fb-test-rect) Using MIFAR006.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-create-ndef (mifare-desfire-info) Using NFC_R000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/nfc-relay-picc (nfc-relay) Using MIFAR007.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-info (mifare-desfire-write-ndef) Using NFC_D000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/nfc-dep-target (nfc-dep-initiator) Using FB_TE002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/fb-test-rect (fb-test-string) Using MIFAR008.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-classic-write-ndef (mifare-classic-read-ndef) Using NFC_E002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/nfc-emulate-forum-tag2 (nfc-emulate-forum-tag4) Using MIFAR009.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-write-ndef (mifare-desfire-format) Using PLCHO000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/plchostd (plchostd2) Using INT6K000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/bin/int6kmdio2 (int6kmdio) Using LIBSU000.0;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/libexec/sudo/libsudo_util.so.0.0.0 (libsudo_util.so.0) Using MICRO000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_same54_xplained_pro.cfg (microchip_explorer16.cfg) Using ST_NU000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f0.cfg (st_nucleo_h743zi.cfg) Using ST_NU001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h743zi.cfg (st_nucleo_l4.cfg) Using RENES000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_salvator-xs.cfg (renesas_silk.cfg) Using TI_BE000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone-base.cfg (ti_beaglebone.cfg) Using ST_NU002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l4.cfg (st_nucleo_l1.cfg) Using TI_BE001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone.cfg (ti_beagleboard.cfg) Using ST_NU003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l1.cfg (st_nucleo_l5.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxeb500hmi.cfg (hilscher_nxdb500sys.cfg) Using ATMEL000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3x_ek.cfg (atmel_samd20_xplained_pro.cfg) Using ST_NU004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l5.cfg (st_nucleo_l073rz.cfg) Using ATMEL001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd20_xplained_pro.cfg (atmel_sam4s_xplained_pro.cfg) Using ST_NU005.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l073rz.cfg (st_nucleo_f7.cfg) Using TI_EK000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_ek-tm4c123gxl.cfg (ti_ek-tm4c1294xl.cfg) Using SNPS_000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v2.2.cfg (snps_em_sk_v1.cfg) Using STM32000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm320518_eval_stlink.cfg (stm320518_eval.cfg) Using RENES001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_silk.cfg (renesas_gr_peach.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9261-ek.cfg (at91sam9263-ek.cfg) Using STM32001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f469i-disco.cfg (stm32f469discovery.cfg) Using ATMEL002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_xplained_pro.cfg (atmel_samv71_xplained_ultra.cfg) Using ATMEL003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samv71_xplained_ultra.cfg (atmel_samg53_xplained_pro.cfg) Using OLIME000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_LPC2378STK.cfg (olimex_lpc_h2148.cfg) Using ATMEL004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg53_xplained_pro.cfg (atmel_samr21_xplained_pro.cfg) Using ATMEL005.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samr21_xplained_pro.cfg (atmel_same70_xplained.cfg) Using ATMEL006.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_same70_xplained.cfg (atmel_sam3u_ek.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9263-ek.cfg (at91sam9g20-ek.cfg) Using ATMEL007.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3u_ek.cfg (atmel_sam4e_ek.cfg) Using NORDI000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51_dk.cfg (nordic_nrf52_ftx232.cfg) Using SPEAR000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear310evb20.cfg (spear310evb20_mod.cfg) Using ST_NU006.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f7.cfg (st_nucleo_wb55.cfg) Using TI_BE002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beagleboard.cfg (ti_beagleboard_xm.cfg) Using ST_NU007.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_wb55.cfg (st_nucleo_f3.cfg) Using SNPS_001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v1.cfg (snps_em_sk_v2.1.cfg) Using LINKS000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys-wrt54gl.cfg (linksys_nslu2.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxdb500sys.cfg (hilscher_nxhx500.cfg) Using SPANS000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spansion_sk-fm4-176l-s6e2cc.cfg (spansion_sk-fm4-u120-9b560.cfg) Using ST_NU008.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f3.cfg (st_nucleo_f103rb.cfg) Using ATMEL008.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4e_ek.cfg (atmel_samd11_xplained_pro.cfg) Using SNPS_002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v2.1.cfg (snps_em_sk.cfg) Using HILSC002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx500.cfg (hilscher_nxhx50.cfg) Using KEIL_000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/keil_mcb1700.cfg (keil_mcb2140.cfg) Using TI_CC000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc26x2_launchpad.cfg (ti_cc26x0_launchpad.cfg) Using NORDI001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf52_ftx232.cfg (nordic_nrf51822_mkit.cfg) Using EMCRA000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_imx8m-som-bsb.cfg (emcraft_twr-vf6-som-bsb.cfg) Using EK_LM000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s8962.cfg (ek-lm3s811.cfg) Using SIFIV000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-e51arty.cfg (sifive-e31arty.cfg) Using NORDI002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51822_mkit.cfg (nordic_nrf52_dk.cfg) Using LINKS001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys_nslu2.cfg (linksys-wag200g.cfg) Using EK_TM000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-tm4c123gxl.cfg (ek-tm4c1294xl.cfg) Using TI_CC001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc13x0_launchpad.cfg (ti_cc13x2_launchpad.cfg) Using RENES002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_gr_peach.cfg (renesas_stout.cfg) Using OLIME001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h107.cfg (olimex_sam7_la2.cfg) Using ATMEL009.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd11_xplained_pro.cfg (atmel_samg55_xplained_pro.cfg) Using ATMEL00A.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg55_xplained_pro.cfg (atmel_samc21_xplained_pro.cfg) Using STM32002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h7x3i_eval.cfg (stm32h7x_dual_qspi.cfg) Using TI_TM000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_tmdx570ls31usb.cfg (ti_tmdx570ls20susb.cfg) Using MBED_000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/mbed-lpc1768.cfg (mbed-lpc11u24.cfg) Using AT91R000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91rm9200-dk.cfg (at91rm9200-ek.cfg) Using TI_BE003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beagleboard_xm.cfg (ti_beaglebone_black.cfg) Using MICRO001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_explorer16.cfg (microchip_saml11_xplained_pro.cfg) Using ATMEL00B.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc21_xplained_pro.cfg (atmel_samd10_xplained_mini.cfg) Using SIFIV001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-hifive1-revb.cfg (sifive-hifive1.cfg) Using OLIME002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam7_la2.cfg (olimex_sam9_l9260.cfg) Using STEVA000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb011v1.cfg (steval-idb007v1.cfg) Using SPEAR001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear300evb_mod.cfg (spear300evb.cfg) Using EMCRA001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_twr-vf6-som-bsb.cfg (emcraft_vf6-som.cfg) Using ATMEL00C.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd10_xplained_mini.cfg (atmel_sam3s_ek.cfg) Using HILSC003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx50.cfg (hilscher_nxhx10.cfg) Using ASUS_000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/asus-rt-n16.cfg (asus-rt-n66u.cfg) Using STM32003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3220g_eval.cfg (stm3220g_eval_stlink.cfg) Using TI_PA000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_pandaboard_es.cfg (ti_pandaboard.cfg) Using DIGIL000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_atlys.cfg (digilent_analog_discovery.cfg) Using RENES003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_stout.cfg (renesas_porter.cfg) Using DIGIL001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_analog_discovery.cfg (digilent_zedboard.cfg) Using DIOLA000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/diolan_lpc4357-db1.cfg (diolan_lpc4350-db1.cfg) Using OLIME003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam9_l9260.cfg (olimex_stm32_h103.cfg) Using ATMEL00D.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam9260-ek.cfg (atmel_at91sam9rl-ek.cfg) Using XMC45000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-application-kit-general.cfg (xmc4500-application-kit-sdram.cfg) Using ATMEL00E.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3s_ek.cfg (atmel_samd21_xplained_pro.cfg) Using HILSC004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx10.cfg (hilscher_nxsb100.cfg) Using TWR_V000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/twr-vf65gs10_cmsisdap.cfg (twr-vf65gs10.cfg) Using ST_NU009.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f103rb.cfg (st_nucleo_f4.cfg) Using TP_LI000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/tp-link_tl-mr3020.cfg (tp-link_wdr4300.cfg) Using ATMEL00F.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd21_xplained_pro.cfg (atmel_sam4l8_xplained_pro.cfg) Using RENES004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_porter.cfg (renesas_dk-s7g2.cfg) Using OLIME004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h103.cfg (olimex_stm32_p107.cfg) Using ST_NU00A.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f4.cfg (st_nucleo_h745zi.cfg) Using STM32004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f429disc1.cfg (stm32f429discovery.cfg) Using ATMEL00G.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4l8_xplained_pro.cfg (atmel_saml21_xplained_pro.cfg) Using ATMEL00H.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam9rl-ek.cfg (atmel_at91sam7s-ek.cfg) Using ATMEL00I.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_saml21_xplained_pro.cfg (atmel_samc20_xplained_pro.cfg) Using NETGE000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/netgear-wg102.cfg (netgear-dg834v3.cfg) Using STM32005.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32429i_eval.cfg (stm32429i_eval_stlink.cfg) Using ATMEL00J.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc20_xplained_pro.cfg (atmel_sam4s_ek.cfg) Using XMC45001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-application-kit-sdram.cfg (xmc4500-relax.cfg) Using ATMEL00K.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_ek.cfg (atmel_sam3n_ek.cfg) Using MARSO000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod.cfg (marsohod3.cfg) Using HITEX000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_lpc1768stick.cfg (hitex_lpc2929.cfg) Using NDS32000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nds32_xc7.cfg (nds32_xc5.cfg) Using EK_LM001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s811.cfg (ek-lm3s811-revb.cfg) Using DP_BU000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/dp_busblaster_v3.cfg (dp_busblaster_v4.cfg) Using HITEX001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_str9-comstick.cfg (hitex_stm32-performancestick.cfg) Using MARSO001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod3.cfg (marsohod2.cfg) Using STM32006.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h747i-disco.cfg (stm32h745i-disco.cfg) Using STM32007.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32439i_eval.cfg (stm32439i_eval_stlink.cfg) Using STM32008.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f412g-disco.cfg (stm32f413h-disco.cfg) Using SPEAR002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear320cpu_mod.cfg (spear320cpu.cfg) Using EK_LM002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s9b9x.cfg (ek-lm3s9d92.cfg) Using ST_NU00B.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h745zi.cfg (st_nucleo_8l152r8.cfg) Using STM32009.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3241g_eval_stlink.cfg (stm3241g_eval.cfg) Using OLIME005.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_p107.cfg (olimex_sam7_ex256.cfg) Using STEVA001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb007v1.cfg (steval-idb008v1.cfg) Using XILIN000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-s.cfg (xilinx-xc7.cfg) Using XILIN001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xc7.cfg (xilinx-xcu.cfg) Using XILIN002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcu.cfg (xilinx-xcf-p.cfg) Using XILIN003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-p.cfg (xilinx-xcr3256.cfg) Using XILIN004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcr3256.cfg (xilinx-xc6s.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263.cfg (at91sam9261.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9261.cfg (at91sam9_sdramc.cfg) Using AT91S002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_sdramc.cfg (at91sam9261_matrix.cfg) Using AT91S003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9261_matrix.cfg (at91sam9_init.cfg) Using AT91S000.TCL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam7x256.tcl (at91sam7x128.tcl) Using AT91S004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_init.cfg (at91sam9263_matrix.cfg) Using AT91S005.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263_matrix.cfg (at91sam9_smc.cfg) Using SPEAR000.TCL;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/st/spear/spear3xx.tcl (spear3xx_ddr.tcl) Using STLIN000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2-1.cfg (stlink-v2.cfg) Using STLIN001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2.cfg (stlink-v1.cfg) Using RASPB000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/raspberrypi-native.cfg (raspberrypi2-native.cfg) Using ALTER000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/altera-usb-blaster2.cfg (altera-usb-blaster.cfg) Using JTAGK000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/jtagkey2p.cfg (jtagkey2.cfg) Using FLYSW000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flyswatter.cfg (flyswatter2.cfg) Using DIGIL000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2.cfg (digilent_jtag_hs3.cfg) Using DIGIL001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_hs3.cfg (digilent-hs2.cfg) Using OLIME000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-jtag-swd.cfg (olimex-arm-usb-ocd.cfg) Using OPENO000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/openocd-usb-hs.cfg (openocd-usb.cfg) Using SIGNA000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/signalyzer-lite.cfg (signalyzer.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_etm.cfg (hilscher_nxhx500_re.cfg) Using CALAO000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/calao-usb-a9260-c02.cfg (calao-usb-a9260-c01.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_re.cfg (hilscher_nxhx50_re.cfg) Using DP_BU000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/dp_busblaster_kt-link.cfg (dp_busblaster.cfg) Using TURTE000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/turtelizer2-revC.cfg (turtelizer2-revB.cfg) Using DIGIL002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent-hs2.cfg (digilent_jtag_smt2_nc.cfg) Using LUMIN000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary-lm3s811.cfg (luminary-icdi.cfg) Using HILSC002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_re.cfg (hilscher_nxhx50_etm.cfg) Using DIGIL003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2_nc.cfg (digilent-hs1.cfg) Using MINIM000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/minimodule.cfg (minimodule-swd.cfg) Using OLIME001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-ocd.cfg (olimex-arm-usb-tiny-h.cfg) Using FLOSS000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flossjtag.cfg (flossjtag-noeeprom.cfg) Using LUMIN001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary-icdi.cfg (luminary.cfg) Using OLIME002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-tiny-h.cfg (olimex-arm-usb-ocd-h.cfg) Using HILSC003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_etm.cfg (hilscher_nxhx10_etm.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx50.cfg (hilscher_netx10.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g10.cfg (at91sam9.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3uxx.cfg (at91sam3ax_8x.cfg) Using AT91S002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_8x.cfg (at91sam3sXX.cfg) Using RENES000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_reset_common.cfg (renesas_rcar_gen2.cfg) Using AT91S003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9.cfg (at91sam9263.cfg) Using AT91S004.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9263.cfg (at91sam9261.cfg) Using AT91S005.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3sXX.cfg (at91sam3ax_xx.cfg) Using AT91S006.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9261.cfg (at91sam9rl.cfg) Using SAMSU000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2410.cfg (samsung_s3c6410.cfg) Using AT91S007.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_xx.cfg (at91sam3u1e.cfg) Using TI_CC000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc13x0.cfg (ti_cc13x2.cfg) Using RENES001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_gen2.cfg (renesas_rcar_gen3.cfg) Using AT91S008.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u1e.cfg (at91sam3u2c.cfg) Using RENES002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_gen3.cfg (renesas_s7g2.cfg) Using STM32000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/stm32h7x_dual_bank.cfg (stm32h7x.cfg) Using RENES003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_s7g2.cfg (renesas_r7s72100.cfg) Using AT91S009.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9rl.cfg (at91sam9g20.cfg) Using SAMSU001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c6410.cfg (samsung_s3c2440.cfg) Using AT91S00A.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g20.cfg (at91sam9g45.cfg) Using TI_TM000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570ls3137.cfg (ti_tms570.cfg) Using SAMSU002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2440.cfg (samsung_s3c2450.cfg) Using AT91S00B.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4lXX.cfg (at91sam4cXXX.cfg) Using AT91S00C.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2c.cfg (at91sam3ax_4x.cfg) Using AT91S00D.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_4x.cfg (at91sam3u4e.cfg) Using AT91S00E.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4e.cfg (at91sam3u4c.cfg) Using AT91S00F.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7a2.cfg (at91sam7sx.cfg) Using ATHER000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2313.cfg (atheros_ar2315.cfg) Using AT91S00G.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4cXXX.cfg (at91sam4c32x.cfg) Using AT91S00H.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7sx.cfg (at91sam7x256.cfg) Using AT91S00I.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7x256.cfg (at91sam7se512.cfg) Using AT91S00J.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4c.cfg (at91sam3nXX.cfg) Using AT91S00K.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7se512.cfg (at91sam7x512.cfg) Using TI_TM001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570.cfg (ti_tms570ls20xxx.cfg) Using ATHER001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2315.cfg (atheros_ar9344.cfg) Using AT91S00L.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3nXX.cfg (at91sam3XXX.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx10.cfg (hilscher_netx500.cfg) Using AT91S00M.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3XXX.cfg (at91sam3u2e.cfg) Using SAMSU003.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2450.cfg (samsung_s3c4510.cfg) Using ATHER002.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar9344.cfg (atheros_ar9331.cfg) Using TI_CC001.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc26x0.cfg (ti_cc26x2.cfg) Using ATMEG000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atmega128rfa1.cfg (atmega128.cfg) Using AT91S00N.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2e.cfg (at91sam3u1c.cfg) Using ALTER000.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/altera_fpgasoc_arria10.cfg (altera_fpgasoc.cfg) Using AT91S00O.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g45.cfg (at91sam9260.cfg) Using AT91S00P.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9260.cfg (at91sam9260_ext_RAM_ext_flash.cfg) Using AT91S00Q.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4c32x.cfg (at91sam4sd32x.cfg) Using AT91S00R.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sd32x.cfg (at91sam4sXX.cfg) Using AT91S00S.CFG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sXX.cfg (at91sam4XXX.cfg) Using XTERM000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/terminfo/x/xterm+256color (xterm-256color) Using START000.OGG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/sounds/borealis/stereo/Startup1_3.ogg (Startup1_2.ogg) Using MINIM000.OGG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/sounds/borealis/stereo/Minimize1.ogg (Minimize4.ogg) Using START001.OGG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/sounds/borealis/stereo/Startup1_2.ogg (Startup1_1.ogg) Using RESTO000.OGG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/sounds/borealis/stereo/Restore_down.ogg (Restore_up.ogg) Using KOPET000.OGG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/sounds/borealis/stereo/Kopete_send.ogg (Kopete_status.ogg) Using START002.OGG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/sounds/borealis/stereo/Startup1_1.ogg (Startup1_4.ogg) Using QUEST000.OGG;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/sounds/borealis/stereo/Question_background.ogg (Question.ogg) Using GPG_E000.LIS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/common-lisp/source/gpg-error/gpg-error-codes.lisp (gpg-error.lisp) Using GPG_E001.LIS;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/common-lisp/source/gpg-error/gpg-error.lisp (gpg-error-package.lisp) Using XHTML000.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-basic-table-1.mod (xhtml-base-1.mod) Using XHTML001.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-list-1.mod (xhtml-link-1.mod) Using XHTML002.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-base-1.mod (xhtml-basic11-model-1.mod) Using XHTML003.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-metaAttributes-1.mod (xhtml-meta-1.mod) Using XHTML004.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-table-1.mod (xhtml-target-1.mod) Using XHTML005.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-inlpres-1.mod (xhtml-inlstyle-1.mod) Using XHTML006.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-inlstyle-1.mod (xhtml-inputmode-1.mod) Using XHTML007.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-struct-1.mod (xhtml-style-1.mod) Using XHTML008.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-inputmode-1.mod (xhtml-inlphras-1.mod) Using XHTML009.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-blkstruct-1.mod (xhtml-blkphras-1.mod) Using XHTML00A.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-inlphras-1.mod (xhtml-inlstruct-1.mod) Using XHTML00B.MOD;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/usr/share/lv2specgen/DTD/xhtml-blkphras-1.mod (xhtml-blkpres-1.mod) Using S6_LI000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init (s6-linux-init-umountall) Using S6_LI001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-umountall (s6-linux-init-shutdown) Using S6_IP000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-ipcserver-access (s6-ipcserverd) Using S6_FD000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-list (s6-fdholder-store) Using S6_LI002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-shutdown (s6-linux-init-shutdownd) Using S6_FD001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-store (s6-fdholder-delete) Using S6_LI003.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-shutdownd (s6-linux-init-telinit) Using S6_LI004.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-telinit (s6-linux-init-echo) Using S6_LI005.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-echo (s6-linux-init-logouthookd) Using S6_FD002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-delete (s6-fdholder-getdump) Using EXECL000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/execlineb (execline-cd) Using S6_IP001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-ipcserverd (s6-ipcserver-socketbinder) Using S6_SV000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-svscanctl (s6-svscan) Using S6_FD003.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-getdump (s6-fdholder-transferdump) Using S6_TA000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-tai64nlocal (s6-tai64n) Using S6_IP002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-ipcserver-socketbinder (s6-ipcserver) Using S6_SV001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-svlisten1 (s6-svlisten) Using S6_FD004.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-transferdump (s6-fdholderd) Using S6_FD005.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholderd (s6-fdholder-retrieve) Using EXECL001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/execline-cd (execline-umask) Using S6_UN000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-unquote-filter (s6-unquote) Using S6_FT000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrig-notify (s6-ftrig-listen1) Using S6_FT001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrig-listen1 (s6-ftrig-listen) Using S6_LI006.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-logouthookd (s6-linux-init-maker) Using S6_SW000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-swapoff (s6-swapon) Using S6_AC000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-accessrules-fs-from-cdb (s6-accessrules-cdb-from-fs) Using S6_LI007.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-maker (s6-linux-init-hpr) Using S6_MK000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-mkfifodir (s6-mkfifo) Using S6_TA001.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-tai64n (s6-tai64ndiff) Using S6_LI008.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-linux-init-hpr (s6-linux-init-nuke) Using S6_FT002.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrig-listen (s6-ftrigrd) Using S6_FT003.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrigrd (s6-ftrig-wait) Using S6_FD006.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-retrieve (s6-fdholder-setdump) Using S6_QU000.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-quote-filter (s6-quote) Using S6_FD007.;1 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-setdump (s6-fdholder-daemon) Using S6_LI000 for /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/cloop/target/include/s6-linux-init (s6-linux-utils) [ 9] Blk# 0, [ratio/avg. 7%/ 7%], avg.speed: 65536 b/s, ETA: 2147483646s [ 9] Blk# 100, [ratio/avg. 17%/ 33%], avg.speed: 3309568 b/s, ETA: 42524426s 9.19% done, estimate finish Mon Apr 11 08:09:29 2022 [ 9] Blk# 200, [ratio/avg. 17%/ 34%], avg.speed: 6586368 b/s, ETA: 21367994s [ 9] Blk# 300, [ratio/avg. 29%/ 37%], avg.speed: 6575445 b/s, ETA: 21403488s 18.38% done, estimate finish Mon Apr 11 08:09:29 2022 [ 9] Blk# 400, [ratio/avg. 56%/ 38%], avg.speed: 8759978 b/s, ETA: 16065959s 27.55% done, estimate finish Mon Apr 11 08:09:26 2022 [ 9] Blk# 500, [ratio/avg. 41%/ 37%], avg.speed: 10944512 b/s, ETA: 12859180s [ 9] Blk# 600, [ratio/avg. 32%/ 37%], avg.speed: 9846784 b/s, ETA: 14292732s 36.75% done, estimate finish Mon Apr 11 08:09:27 2022 [ 9] Blk# 700, [ratio/avg. 19%/ 35%], avg.speed: 11485184 b/s, ETA: 12253825s 45.92% done, estimate finish Mon Apr 11 08:09:25 2022 [ 9] Blk# 800, [ratio/avg. 54%/ 33%], avg.speed: 13123584 b/s, ETA: 10724009s [ 9] Blk# 900, [ratio/avg. 50%/ 32%], avg.speed: 14761984 b/s, ETA: 9533774s 55.10% done, estimate finish Mon Apr 11 08:09:24 2022 [ 9] Blk# 1000, [ratio/avg. 5%/ 32%], avg.speed: 13120307 b/s, ETA: 10726686s 64.27% done, estimate finish Mon Apr 11 08:09:25 2022 [ 9] Blk# 1100, [ratio/avg. 15%/ 32%], avg.speed: 14431027 b/s, ETA: 9752418s [ 9] Blk# 1200, [ratio/avg. 65%/ 34%], avg.speed: 15741747 b/s, ETA: 8940393s 73.47% done, estimate finish Mon Apr 11 08:09:24 2022 [ 9] Blk# 1300, [ratio/avg. 53%/ 36%], avg.speed: 17052467 b/s, ETA: 8253198s 82.64% done, estimate finish Mon Apr 11 08:09:25 2022 [ 9] Blk# 1400, [ratio/avg. 50%/ 37%], avg.speed: 15302656 b/s, ETA: 9196926s [ 9] Blk# 1500, [ratio/avg. 58%/ 37%], avg.speed: 16394922 b/s, ETA: 8584205s 91.82% done, estimate finish Mon Apr 11 08:09:24 2022 [ 9] Blk# 1600, [ratio/avg. 11%/ 36%], avg.speed: 17487189 b/s, ETA: 8048027s Total translation table size: 0 Total rockridge attributes bytes: 250989 Total directory bytes: 651264 Path table size(bytes): 2638 Max brk space used 278000 54463 extents written (106 MB) [ 9] Blk# 1700, [ratio/avg. 0%/ 34%], avg.speed: 18579456 b/s, ETA: 7574892s Statistics: gzip(0): 0 ( 0%) gzip(1): 0 ( 0%) gzip(2): 0 ( 0%) gzip(3): 0 ( 0%) gzip(4): 0 ( 0%) gzip(5): 0 ( 0%) gzip(6): 0 ( 0%) gzip(7): 0 ( 0%) gzip(8): 0 ( 0%) gzip(9): 1702 (1e+02%) 7zip: 0 ( 0%) Writing index for 1702 block(s)... Writing compressed data... >>> Generating filesystem image rootfs.ext2 mkdir -p /home/autobuild/autobuild/instance-15/output-1/images rm -rf /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2 mkdir -p /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2 rsync -auH --exclude=/THIS_IS_NOT_YOUR_ROOT_FILESYSTEM /home/autobuild/autobuild/instance-15/output-1/target/ /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target echo '#!/bin/sh' > /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot echo "set -e" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot echo "chown -h -R 0:0 /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot PATH="/home/autobuild/autobuild/instance-15/output-1/host/bin:/home/autobuild/autobuild/instance-15/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" /home/autobuild/autobuild/instance-15/buildroot/support/scripts/mkusers /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_users_table.txt /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot echo "/home/autobuild/autobuild/instance-15/output-1/host/bin/makedevs -d /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_devices_table.txt /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot echo "find /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target/run/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot echo "find /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target/tmp/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot printf ' rm -f /home/autobuild/autobuild/instance-15/output-1/images/rootfs.ext2\n /home/autobuild/autobuild/instance-15/output-1/host/sbin/mkfs.ext4 -d /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target -r 1 -N 0 -m 5 -L "rootfs" -O ^64bit /home/autobuild/autobuild/instance-15/output-1/images/rootfs.ext2 "60M" || { ret=$?; echo "*** Maybe you need to increase the filesystem size (BR2_TARGET_ROOTFS_EXT2_SIZE)" 1>&2; exit $ret; }\n' >> /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot chmod a+x /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot PATH="/home/autobuild/autobuild/instance-15/output-1/host/bin:/home/autobuild/autobuild/instance-15/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" FAKEROOTDONTTRYCHOWN=1 /home/autobuild/autobuild/instance-15/output-1/host/bin/fakeroot -- /home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/fakeroot rootdir=/home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/ext2/target table='/home/autobuild/autobuild/instance-15/output-1/build/buildroot-fs/full_devices_table.txt' mke2fs 1.46.5 (30-Dec-2021) Creating regular file /home/autobuild/autobuild/instance-15/output-1/images/rootfs.ext2 64-bit filesystem support is not enabled. The larger fields afforded by this feature enable full-strength checksumming. Pass -O 64bit to rectify. Creating filesystem with 61440 1k blocks and 15360 inodes Filesystem UUID: f078f52e-0b3d-4f5d-9f85-3a39343073c3 Superblock backups stored on blocks: 8193, 24577, 40961, 57345 Allocating group tables: 0/8 done Writing inode tables: 0/8 done Creating journal (4096 blocks): done Copying files into the device: __populate_fs: Could not allocate block in ext2 filesystem while writing file "sockd" mkfs.ext4: Could not allocate block in ext2 filesystem while populating file system *** Maybe you need to increase the filesystem size (BR2_TARGET_ROOTFS_EXT2_SIZE) make: *** [fs/ext2/ext2.mk:65: /home/autobuild/autobuild/instance-15/output-1/images/rootfs.ext2] Error 1 make: Leaving directory '/home/autobuild/autobuild/instance-15/buildroot'