echo "NAME=Buildroot"; \ echo "VERSION=2023.02-586-g47fef43f5e"; \ echo "ID=buildroot"; \ echo "VERSION_ID=2023.05-git"; \ echo "PRETTY_NAME=\"Buildroot 2023.05-git\"" \ ) > /home/autobuild/autobuild/instance-11/output-1/target/usr/lib/os-release ln -sf ../usr/lib/os-release /home/autobuild/autobuild/instance-11/output-1/target/etc >>> Sanitizing RPATH in target tree PER_PACKAGE_DIR=/home/autobuild/autobuild/instance-11/output-1/per-package /home/autobuild/autobuild/instance-11/buildroot/support/scripts/fix-rpath target touch /home/autobuild/autobuild/instance-11/output-1/target/usr >>> Generating root filesystems common tables rm -rf /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs mkdir -p /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs printf ' dhcpcd -1 dhcpcd -1 * - - - dhcpcd user\n exim 88 mail 8 * - - - exim\n ftp -1 ftp -1 * /home/ftp - - Anonymous FTP User\n\n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_users_table.txt printf ' /usr/bin/bwrap f 1755 0 0 - - - - -\n /bin/busybox f 4755 0 0 - - - - -\n\n' > /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_devices_table.txt cat system/device_table.txt >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_devices_table.txt >>> Generating filesystem image rootfs.cloop mkdir -p /home/autobuild/autobuild/instance-11/output-1/images rm -rf /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop mkdir -p /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop rsync -auH --exclude=/THIS_IS_NOT_YOUR_ROOT_FILESYSTEM /home/autobuild/autobuild/instance-11/output-1/target/ /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target echo '#!/bin/sh' > /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot echo "set -e" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot echo "chown -h -R 0:0 /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot PATH="/home/autobuild/autobuild/instance-11/output-1/host/bin:/home/autobuild/autobuild/instance-11/output-1/host/sbin:/home/autobuild/make:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" /home/autobuild/autobuild/instance-11/buildroot/support/scripts/mkusers /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_users_table.txt /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot echo "/home/autobuild/autobuild/instance-11/output-1/host/bin/makedevs -d /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_devices_table.txt /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot printf ' rm -rf /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/udev/hwdb.d/ /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/etc/udev/hwdb.d/\n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot echo "find /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/run/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot echo "find /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/tmp/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot printf ' /home/autobuild/autobuild/instance-11/output-1/host/bin/genisoimage -r /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target | /home/autobuild/autobuild/instance-11/output-1/host/bin/create_compressed_fs - 65536 > /home/autobuild/autobuild/instance-11/output-1/images/rootfs.cloop\n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot chmod a+x /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot PATH="/home/autobuild/autobuild/instance-11/output-1/host/bin:/home/autobuild/autobuild/instance-11/output-1/host/sbin:/home/autobuild/make:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" FAKEROOTDONTTRYCHOWN=1 /home/autobuild/autobuild/instance-11/output-1/host/bin/fakeroot -- /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/fakeroot rootdir=/home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target table='/home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_devices_table.txt' 8 processor core(s) detected Warning, number as file string found. Assuming old command syntax and choosing compatible parameters (-m -B 65536). See the usage info (-h) for better/correct parameters. Block size 65536, expected number of blocks: 2147483647 Using XFS_S000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/sbin/xfs_scrub (xfs_scrub_all) Using BUF_S000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/buf-size.lv2/buf-size.ttl (buf-size.meta.ttl) Using PORT_000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/port-groups.lv2/port-groups.meta.ttl (port-groups.ttl) Using INSTA000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/instance-access.lv2/instance-access.meta.ttl (instance-access.ttl) Using PORT_000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/port-props.lv2/port-props.ttl (port-props.meta.ttl) Using RESIZ000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/resize-port.lv2/resize-port.meta.ttl (resize-port.ttl) Using DYNMA000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/dynmanifest.lv2/dynmanifest.meta.ttl (dynmanifest.ttl) Using PARAM000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/parameters.lv2/parameters.ttl (parameters.meta.ttl) Using DATA_000.TTL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/lib/lv2/data-access.lv2/data-access.meta.ttl (data-access.ttl) Using MIFAR000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-read-ndef (mifare-desfire-ev1-configure-random-uid) Using MIFAR001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-ev1-configure-random-uid (mifare-desfire-access) Using TCPSP000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/tcpspray6 (tcpspray) Using MBUS_000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-tcp-scan-secondary (mbus-tcp-request-data-multi-reply) Using MIFAR002.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-access (mifare-desfire-ev1-configure-ats) Using MBUS_001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-tcp-request-data-multi-reply (mbus-tcp-scan) Using MIFAR003.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-ev1-configure-ats (mifare-desfire-ev1-configure-default-key) Using MIFAR004.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-ev1-configure-default-key (mifare-desfire-create-ndef) Using MIFAR005.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-classic-format (mifare-classic-write-ndef) Using MBUS_002.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-serial-switch-baudrate (mbus-serial-scan-secondary) Using MBUS_003.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-serial-scan-secondary (mbus-serial-select-secondary) Using MBUS_004.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-serial-select-secondary (mbus-serial-scan) Using MBUS_005.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-serial-scan (mbus-serial-request-data-multi-reply) Using MIFAR006.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-create-ndef (mifare-desfire-info) Using MBUS_006.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-tcp-scan (mbus-tcp-select-secondary) Using LAT_U000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/lat_unix_connect (lat_unix) Using MIFAR007.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-info (mifare-desfire-write-ndef) Using MBUS_007.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-tcp-select-secondary (mbus-tcp-request-data) Using MIFAR008.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-classic-write-ndef (mifare-classic-read-ndef) Using MBUS_008.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mbus-serial-request-data-multi-reply (mbus-serial-request-data) Using MIFAR009.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/bin/mifare-desfire-write-ndef (mifare-desfire-format) Using LATTI000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/fpga/lattice_ecp5.cfg (lattice_machxo3.cfg) Using MICRO000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_same54_xplained_pro.cfg (microchip_explorer16.cfg) Using RENES000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_falcon.cfg (renesas_salvator-xs.cfg) Using ST_NU000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f0.cfg (st_nucleo_h743zi.cfg) Using ST_NU001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h743zi.cfg (st_nucleo_l4.cfg) Using RENES001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_salvator-xs.cfg (renesas_silk.cfg) Using TI_BE000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone-base.cfg (ti_beaglebone.cfg) Using ST_NU002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l4.cfg (st_nucleo_l1.cfg) Using MICRO001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_explorer16.cfg (microchip_sama5d27_som1_kit1.cfg) Using TI_BE001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone.cfg (ti_beagleboard.cfg) Using ST_NU003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l1.cfg (st_nucleo_l5.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxeb500hmi.cfg (hilscher_nxdb500sys.cfg) Using ATMEL000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3x_ek.cfg (atmel_samd20_xplained_pro.cfg) Using ST_NU004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l5.cfg (st_nucleo_l073rz.cfg) Using ATMEL001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd20_xplained_pro.cfg (atmel_sam4s_xplained_pro.cfg) Using ST_NU005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l073rz.cfg (st_nucleo_f7.cfg) Using TI_EK000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_ek-tm4c123gxl.cfg (ti_ek-tm4c1294xl.cfg) Using SNPS_000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v2.2.cfg (snps_em_sk_v1.cfg) Using STM32000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm320518_eval_stlink.cfg (stm320518_eval.cfg) Using RENES002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_silk.cfg (renesas_gr_peach.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9261-ek.cfg (at91sam9263-ek.cfg) Using STM32001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f469i-disco.cfg (stm32f469discovery.cfg) Using ATMEL002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_xplained_pro.cfg (atmel_samv71_xplained_ultra.cfg) Using ATMEL003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samv71_xplained_ultra.cfg (atmel_samg53_xplained_pro.cfg) Using OLIME000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_LPC2378STK.cfg (olimex_lpc_h2148.cfg) Using ATMEL004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg53_xplained_pro.cfg (atmel_samr21_xplained_pro.cfg) Using ATMEL005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samr21_xplained_pro.cfg (atmel_same70_xplained.cfg) Using ATMEL006.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_same70_xplained.cfg (atmel_sam3u_ek.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9263-ek.cfg (at91sam9g20-ek.cfg) Using ATMEL007.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3u_ek.cfg (atmel_sam4e_ek.cfg) Using STEVA000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb012v1.cfg (steval-idb011v1.cfg) Using NORDI000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51_dk.cfg (nordic_nrf52_ftx232.cfg) Using SPEAR000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear310evb20.cfg (spear310evb20_mod.cfg) Using ST_NU006.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f7.cfg (st_nucleo_wb55.cfg) Using TI_BE002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beagleboard.cfg (ti_beagleboard_xm.cfg) Using ST_NU007.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_wb55.cfg (st_nucleo_f3.cfg) Using SNPS_001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v1.cfg (snps_em_sk_v2.1.cfg) Using LINKS000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys-wrt54gl.cfg (linksys_nslu2.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxdb500sys.cfg (hilscher_nxhx500.cfg) Using SPANS000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spansion_sk-fm4-176l-s6e2cc.cfg (spansion_sk-fm4-u120-9b560.cfg) Using ST_NU008.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f3.cfg (st_nucleo_f103rb.cfg) Using ATMEL008.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4e_ek.cfg (atmel_samd11_xplained_pro.cfg) Using OLIME001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h107.cfg (olimex_stm32_h405.cfg) Using SNPS_002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v2.1.cfg (snps_em_sk.cfg) Using HILSC002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx500.cfg (hilscher_nxhx50.cfg) Using KEIL_000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/keil_mcb1700.cfg (keil_mcb2140.cfg) Using TI_CC000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc26x2_launchpad.cfg (ti_cc26x0_launchpad.cfg) Using XTENS000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xtensa-kc705-ext-dap.cfg (xtensa-kc705-ext.cfg) Using NORDI001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf52_ftx232.cfg (nordic_nrf51822_mkit.cfg) Using EMCRA000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_imx8m-som-bsb.cfg (emcraft_twr-vf6-som-bsb.cfg) Using EK_LM000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s8962.cfg (ek-lm3s811.cfg) Using SIFIV000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-e51arty.cfg (sifive-e31arty.cfg) Using MICRO002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_sama5d27_som1_kit1.cfg (microchip_same51_curiosity_nano.cfg) Using ESP32000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/esp32-wrover-kit-1.8v.cfg (esp32-wrover-kit-3.3v.cfg) Using NORDI002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51822_mkit.cfg (nordic_nrf52_dk.cfg) Using XTENS001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xtensa-kc705-ext.cfg (xtensa-kc705-onboard.cfg) Using LINKS001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys_nslu2.cfg (linksys-wag200g.cfg) Using EK_TM000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-tm4c123gxl.cfg (ek-tm4c1294xl.cfg) Using TI_CC001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc13x0_launchpad.cfg (ti_cc13x2_launchpad.cfg) Using ESP32001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/esp32s3-ftdi.cfg (esp32s3-bridge.cfg) Using RENES003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_gr_peach.cfg (renesas_stout.cfg) Using VD_A5000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/vd_a53x2_jtag.cfg (vd_a53x2_dap.cfg) Using ST_NU009.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f103rb.cfg (st_nucleo_8s208rb.cfg) Using OLIME002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h405.cfg (olimex_sam7_la2.cfg) Using ATMEL009.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd11_xplained_pro.cfg (atmel_samg55_xplained_pro.cfg) Using ATMEL00A.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg55_xplained_pro.cfg (atmel_samc21_xplained_pro.cfg) Using STM32002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h7x3i_eval.cfg (stm32h7x_dual_qspi.cfg) Using TI_TM000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_tmdx570ls31usb.cfg (ti_tmdx570ls20susb.cfg) Using MBED_000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/mbed-lpc1768.cfg (mbed-lpc11u24.cfg) Using AT91R000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91rm9200-dk.cfg (at91rm9200-ek.cfg) Using NXP_F000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nxp_frdm-k64f.cfg (nxp_frdm-ls1012a.cfg) Using TI_BE003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beagleboard_xm.cfg (ti_beaglebone_black.cfg) Using MICRO003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_same51_curiosity_nano.cfg (microchip_saml11_xplained_pro.cfg) Using ATMEL00B.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc21_xplained_pro.cfg (atmel_samd10_xplained_mini.cfg) Using SIFIV001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-hifive1-revb.cfg (sifive-hifive1.cfg) Using OLIME003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam7_la2.cfg (olimex_sam9_l9260.cfg) Using STEVA001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb011v1.cfg (steval-idb007v1.cfg) Using SPEAR001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear300evb_mod.cfg (spear300evb.cfg) Using DIGIL000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_atlys.cfg (digilent_nexys_video.cfg) Using EMCRA001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_twr-vf6-som-bsb.cfg (emcraft_vf6-som.cfg) Using ATMEL00C.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd10_xplained_mini.cfg (atmel_sam3s_ek.cfg) Using HILSC003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx50.cfg (hilscher_nxhx10.cfg) Using ASUS_000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/asus-rt-n16.cfg (asus-rt-n66u.cfg) Using STM32003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3220g_eval.cfg (stm3220g_eval_stlink.cfg) Using TI_PA000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_pandaboard_es.cfg (ti_pandaboard.cfg) Using ST_NU00A.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_8s208rb.cfg (st_nucleo_g0.cfg) Using DIGIL001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_nexys_video.cfg (digilent_analog_discovery.cfg) Using RENES004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_stout.cfg (renesas_porter.cfg) Using DIGIL002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_analog_discovery.cfg (digilent_zedboard.cfg) Using DIOLA000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/diolan_lpc4357-db1.cfg (diolan_lpc4350-db1.cfg) Using OLIME004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam9_l9260.cfg (olimex_stm32_h103.cfg) Using ATMEL00D.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam9260-ek.cfg (atmel_at91sam9rl-ek.cfg) Using XMC45000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-application-kit-general.cfg (xmc4500-application-kit-sdram.cfg) Using ATMEL00E.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3s_ek.cfg (atmel_samd21_xplained_pro.cfg) Using HILSC004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx10.cfg (hilscher_nxsb100.cfg) Using TWR_V000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/twr-vf65gs10_cmsisdap.cfg (twr-vf65gs10.cfg) Using ST_NU00B.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_g0.cfg (st_nucleo_f4.cfg) Using TP_LI000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/tp-link_tl-mr3020.cfg (tp-link_wdr4300.cfg) Using ATMEL00F.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd21_xplained_pro.cfg (atmel_sam4l8_xplained_pro.cfg) Using RENES005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_porter.cfg (renesas_dk-s7g2.cfg) Using OLIME005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h103.cfg (olimex_stm32_p107.cfg) Using ST_NU00C.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f4.cfg (st_nucleo_h745zi.cfg) Using STM32004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32mp13x_dk.cfg (stm32mp15x_dk2.cfg) Using STM32005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f429disc1.cfg (stm32f429discovery.cfg) Using ATMEL00G.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4l8_xplained_pro.cfg (atmel_saml21_xplained_pro.cfg) Using NXP_R000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nxp_rdb-ls1088a.cfg (nxp_rdb-ls1046a.cfg) Using ATMEL00H.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam9rl-ek.cfg (atmel_at91sam7s-ek.cfg) Using ATMEL00I.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_saml21_xplained_pro.cfg (atmel_samc20_xplained_pro.cfg) Using NETGE000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/netgear-wg102.cfg (netgear-dg834v3.cfg) Using STM32006.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32429i_eval.cfg (stm32429i_eval_stlink.cfg) Using ESP32002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/esp32s2-kaluga-1.cfg (esp32s2-bridge.cfg) Using ATMEL00J.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc20_xplained_pro.cfg (atmel_sam4s_ek.cfg) Using XMC45001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-application-kit-sdram.cfg (xmc4500-relax.cfg) Using ATMEL00K.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_ek.cfg (atmel_sam3n_ek.cfg) Using MARSO000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod.cfg (marsohod3.cfg) Using AMPER000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ampere_qs_mq_2s.cfg (ampere_qs_mq_1s.cfg) Using HITEX000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_lpc1768stick.cfg (hitex_lpc2929.cfg) Using NDS32000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nds32_xc7.cfg (nds32_xc5.cfg) Using EK_LM001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s811.cfg (ek-lm3s811-revb.cfg) Using DP_BU000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/dp_busblaster_v3.cfg (dp_busblaster_v4.cfg) Using HITEX001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_str9-comstick.cfg (hitex_stm32-performancestick.cfg) Using MARSO001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod3.cfg (marsohod2.cfg) Using ST_NU00D.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h745zi.cfg (st_nucleo_g4.cfg) Using STM32007.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h747i-disco.cfg (stm32h745i-disco.cfg) Using STM32008.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32439i_eval.cfg (stm32439i_eval_stlink.cfg) Using STM32009.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f412g-disco.cfg (stm32f413h-disco.cfg) Using SPEAR002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear320cpu_mod.cfg (spear320cpu.cfg) Using EK_LM002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s9b9x.cfg (ek-lm3s9d92.cfg) Using ST_NU00E.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_g4.cfg (st_nucleo_8l152r8.cfg) Using STM3200A.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3241g_eval_stlink.cfg (stm3241g_eval.cfg) Using OLIME006.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_p107.cfg (olimex_sam7_ex256.cfg) Using STEVA002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb007v1.cfg (steval-idb008v1.cfg) Using XILIN000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-s.cfg (xilinx-xc7.cfg) Using XILIN001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xc7.cfg (xilinx-xcu.cfg) Using XILIN002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcu.cfg (xilinx-xcf-p.cfg) Using XILIN003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-p.cfg (xilinx-xcr3256.cfg) Using XILIN004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcr3256.cfg (xilinx-xc6s.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263.cfg (at91sam9261.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9261.cfg (at91sam9_sdramc.cfg) Using AT91S002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_sdramc.cfg (at91sam9261_matrix.cfg) Using AT91S003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9261_matrix.cfg (at91sam9_init.cfg) Using AT91S000.TCL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam7x256.tcl (at91sam7x128.tcl) Using AT91S004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_init.cfg (at91sam9263_matrix.cfg) Using AT91S005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263_matrix.cfg (at91sam9_smc.cfg) Using SPEAR000.TCL;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/st/spear/spear3xx.tcl (spear3xx_ddr.tcl) Using STLIN000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2-1.cfg (stlink-v2.cfg) Using STLIN001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2.cfg (stlink-v1.cfg) Using BEAGL000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/beaglebone-swd-native.cfg (beaglebone-jtag-native.cfg) Using RASPB000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/raspberrypi-native.cfg (raspberrypi2-native.cfg) Using ALTER000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/altera-usb-blaster2.cfg (altera-usb-blaster.cfg) Using JTAGK000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/jtagkey2p.cfg (jtagkey2.cfg) Using FLYSW000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flyswatter.cfg (flyswatter2.cfg) Using DIGIL000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2.cfg (digilent_jtag_hs3.cfg) Using DIGIL001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_hs3.cfg (digilent-hs2.cfg) Using OLIME000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-jtag-swd.cfg (olimex-arm-usb-ocd.cfg) Using OPENO000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/openocd-usb-hs.cfg (openocd-usb.cfg) Using SIGNA000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/signalyzer-lite.cfg (signalyzer.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_etm.cfg (hilscher_nxhx500_re.cfg) Using CALAO000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/calao-usb-a9260-c02.cfg (calao-usb-a9260-c01.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_re.cfg (hilscher_nxhx50_re.cfg) Using DP_BU000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/dp_busblaster_kt-link.cfg (dp_busblaster.cfg) Using TURTE000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/turtelizer2-revC.cfg (turtelizer2-revB.cfg) Using DIGIL002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent-hs2.cfg (digilent_jtag_smt2_nc.cfg) Using LUMIN000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary-lm3s811.cfg (luminary-icdi.cfg) Using HILSC002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_re.cfg (hilscher_nxhx50_etm.cfg) Using DIGIL003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2_nc.cfg (digilent-hs1.cfg) Using MINIM000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/minimodule.cfg (minimodule-swd.cfg) Using OLIME001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-ocd.cfg (olimex-arm-usb-tiny-h.cfg) Using ASHLI000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/ashling-opella-ld-swd.cfg (ashling-opella-ld-jtag.cfg) Using FLOSS000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flossjtag.cfg (flossjtag-noeeprom.cfg) Using LUMIN001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary-icdi.cfg (luminary.cfg) Using OLIME002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-tiny-h.cfg (olimex-arm-usb-ocd-h.cfg) Using HILSC003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_etm.cfg (hilscher_nxhx10_etm.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx50.cfg (hilscher_netx10.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g10.cfg (at91sam9.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3uxx.cfg (at91sam3ax_8x.cfg) Using AT91S002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_8x.cfg (at91sam3sXX.cfg) Using RENES000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_reset_common.cfg (renesas_rcar_gen2.cfg) Using AT91S003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9.cfg (at91sam9263.cfg) Using AT91S004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9263.cfg (at91sam9261.cfg) Using AT91S005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3sXX.cfg (at91sam3ax_xx.cfg) Using AT91S006.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9261.cfg (at91sam9rl.cfg) Using SAMSU000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2410.cfg (samsung_s3c6410.cfg) Using AT91S007.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_xx.cfg (at91sam3u1e.cfg) Using TI_CC000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc13x0.cfg (ti_cc13x2.cfg) Using RENES001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_gen2.cfg (renesas_rcar_gen3.cfg) Using AT91S008.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u1e.cfg (at91sam3u2c.cfg) Using XTENS000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/xtensa-core-nxp_rt600.cfg (xtensa-core-esp32.cfg) Using RENES002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_gen3.cfg (renesas_s7g2.cfg) Using STM32000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/stm32h7x_dual_bank.cfg (stm32h7x.cfg) Using RENES003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_s7g2.cfg (renesas_r7s72100.cfg) Using AT91S009.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9rl.cfg (at91sam9g20.cfg) Using RENES004.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_r7s72100.cfg (renesas_rz_g2.cfg) Using SAMSU001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c6410.cfg (samsung_s3c2440.cfg) Using RENES005.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rz_g2.cfg (renesas_rz_five.cfg) Using AT91S00A.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g20.cfg (at91sam9g45.cfg) Using TI_TM000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570ls3137.cfg (ti_tms570.cfg) Using SAMSU002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2440.cfg (samsung_s3c2450.cfg) Using AT91S00B.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4lXX.cfg (at91sam4cXXX.cfg) Using AT91S00C.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2c.cfg (at91sam3ax_4x.cfg) Using AT91S00D.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_4x.cfg (at91sam3u4e.cfg) Using AT91S00E.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4e.cfg (at91sam3u4c.cfg) Using AT91S00F.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7a2.cfg (at91sam7sx.cfg) Using ATHER000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2313.cfg (atheros_ar2315.cfg) Using STM32001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/stm32mp13x.cfg (stm32mp15x.cfg) Using AT91S00G.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4cXXX.cfg (at91sam4c32x.cfg) Using AT91S00H.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7sx.cfg (at91sam7x256.cfg) Using AT91S00I.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7x256.cfg (at91sam7se512.cfg) Using XTENS001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/xtensa-core-esp32.cfg (xtensa-core-esp32s2.cfg) Using AT91S00J.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4c.cfg (at91sam3nXX.cfg) Using AT91S00K.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7se512.cfg (at91sam7x512.cfg) Using TI_TM001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570.cfg (ti_tms570ls20xxx.cfg) Using XTENS002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/xtensa-core-esp32s2.cfg (xtensa-core-esp32s3.cfg) Using ATHER001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2315.cfg (atheros_ar9344.cfg) Using AT91S00L.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3nXX.cfg (at91sam3XXX.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx10.cfg (hilscher_netx500.cfg) Using AT91S00M.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3XXX.cfg (at91sam3u2e.cfg) Using SAMSU003.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2450.cfg (samsung_s3c4510.cfg) Using ATHER002.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar9344.cfg (atheros_ar9331.cfg) Using TI_CC001.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc26x0.cfg (ti_cc26x2.cfg) Using ATMEG000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atmega128rfa1.cfg (atmega128.cfg) Using AT91S00N.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2e.cfg (at91sam3u1c.cfg) Using ALTER000.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/altera_fpgasoc_arria10.cfg (altera_fpgasoc.cfg) Using AT91S00O.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g45.cfg (at91sam9260.cfg) Using AT91S00P.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9260.cfg (at91sam9260_ext_RAM_ext_flash.cfg) Using AT91S00Q.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4c32x.cfg (at91sam4sd32x.cfg) Using AT91S00R.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sd32x.cfg (at91sam4sXX.cfg) Using AT91S00S.CFG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sXX.cfg (at91sam4XXX.cfg) Using ENSCR000.HDR;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/enscript/enscript.hdr (enscript-color.hdr) Using STYLE000.ST;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/enscript/hl/style_emacs.st (style_emacs_verbose.st) Using XTERM000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/terminfo/x/xterm+256color (xterm-256color) Using SYSPR000.PNG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/pixmaps/sysprof-icon-32.png (sysprof-icon-48.png) Using SYSPR001.PNG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/pixmaps/sysprof-icon-48.png (sysprof-icon-24.png) Using SYSPR002.PNG;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/pixmaps/sysprof-icon-24.png (sysprof-icon-16.png) Using POLAR000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/polaris10-amdgcn-mesa-mesa3d.bc (polaris10-amdgcn--.bc) Using POLAR001.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/polaris10-amdgcn--.bc (polaris11-amdgcn-mesa-mesa3d.bc) Using VERDE000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/verde-amdgcn--.bc (verde-amdgcn-mesa-mesa3d.bc) Using GFX90000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/gfx906-amdgcn--.bc (gfx906-amdgcn-mesa-mesa3d.bc) Using STONE000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/stoney-amdgcn--.bc (stoney-amdgcn-mesa-mesa3d.bc) Using GFX90001.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/gfx902-amdgcn--.bc (gfx902-amdgcn-mesa-mesa3d.bc) Using MULLI000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/mullins-amdgcn--.bc (mullins-amdgcn-mesa-mesa3d.bc) Using BONAI000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/bonaire-amdgcn--.bc (bonaire-amdgcn-mesa-mesa3d.bc) Using KABIN000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/kabini-amdgcn--.bc (kabini-amdgcn-mesa-mesa3d.bc) Using TONGA000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/tonga-amdgcn--.bc (tonga-amdgcn-mesa-mesa3d.bc) Using ICELA000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/iceland-amdgcn-mesa-mesa3d.bc (iceland-amdgcn--.bc) Using OLAND000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/oland-amdgcn--.bc (oland-amdgcn-mesa-mesa3d.bc) Using POLAR002.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/polaris11-amdgcn-mesa-mesa3d.bc (polaris11-amdgcn--.bc) Using GFX90002.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/gfx904-amdgcn-mesa-mesa3d.bc (gfx904-amdgcn--.bc) Using HAWAI000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/hawaii-amdgcn--.bc (hawaii-amdgcn-mesa-mesa3d.bc) Using TAHIT000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/tahiti-amdgcn-mesa-mesa3d.bc (tahiti-amdgcn--.bc) Using PITCA000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/pitcairn-amdgcn--.bc (pitcairn-amdgcn-mesa-mesa3d.bc) Using CARRI000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/carrizo-amdgcn--.bc (carrizo-amdgcn-mesa-mesa3d.bc) Using KAVER000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/kaveri-amdgcn--.bc (kaveri-amdgcn-mesa-mesa3d.bc) Using NVPTX000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/nvptx64--.bc (nvptx64--nvidiacl.bc) Using FIJI_000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/fiji-amdgcn-mesa-mesa3d.bc (fiji-amdgcn--.bc) Using HAINA000.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/hainan-amdgcn-mesa-mesa3d.bc (hainan-amdgcn--.bc) Using GFX90003.BC;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/clc/gfx900-amdgcn--.bc (gfx900-amdgcn-mesa-mesa3d.bc) Using NEWSH000.SHP;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/opentyrian/data/newsh~.shp (newsh^.shp) Using NEWSH001.SHP;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/usr/share/opentyrian/data/newsh^.shp (newsh#.shp) Using UMOUN000.NFS;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/sbin/umount.nfs4 (umount.nfs) Using MOUNT000.NFS;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/sbin/mount.nfs4 (mount.nfs) Using RTL87000.BIN;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/lib/firmware/rtl_bt/rtl8723ds_fw.bin (rtl8723ds_config.bin) Using S6_IP000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-ipcserver-access (s6-ipcserverd) Using S6_FD000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-list (s6-fdholder-store) Using S6_FD001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-store (s6-fdholder-delete) Using S6_FD002.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-delete (s6-fdholder-getdump) Using EXECL000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/execlineb (execline-cd) Using S6_IP001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-ipcserverd (s6-ipcserver-socketbinder) Using S6_SV000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-svscanctl (s6-svscan) Using S6_FD003.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-getdump (s6-fdholder-transferdump) Using S6_TA000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-tai64nlocal (s6-tai64n) Using S6_IP002.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-ipcserver-socketbinder (s6-ipcserver) Using S6_SV001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-svlisten1 (s6-svlisten) Using S6_FD004.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-transferdump (s6-fdholderd) Using S6_FD005.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholderd (s6-fdholder-retrieve) Using EXECL001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/execline-cd (execline-umask) Using S6_UN000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-unquote-filter (s6-unquote) Using S6_FT000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrig-notify (s6-ftrig-listen1) Using S6_FT001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrig-listen1 (s6-ftrig-listen) Using S6_AC000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-accessrules-fs-from-cdb (s6-accessrules-cdb-from-fs) Using S6_MK000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-mkfifodir (s6-mkfifo) Using S6_TA001.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-tai64n (s6-tai64ndiff) Using S6_FT002.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrig-listen (s6-ftrigrd) Using S6_FT003.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-ftrigrd (s6-ftrig-wait) Using S6_FD006.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-retrieve (s6-fdholder-setdump) Using S6_QU000.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-quote-filter (s6-quote) Using S6_FD007.;1 for /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/cloop/target/bin/s6-fdholder-setdump (s6-fdholder-daemon) [ 9] Blk# 0, [ratio/avg. 6%/ 6%], avg.speed: 65536 b/s, ETA: 2147483646s [ 9] Blk# 100, [ratio/avg. 34%/ 54%], avg.speed: 6619136 b/s, ETA: 21262213s 2.61% done, estimate finish Mon May 15 15:23:30 2023 [ 9] Blk# 200, [ratio/avg. 34%/ 45%], avg.speed: 6586368 b/s, ETA: 21367994s [ 9] Blk# 300, [ratio/avg. 34%/ 42%], avg.speed: 9863168 b/s, ETA: 14268992s 5.21% done, estimate finish Mon May 15 15:23:11 2023 [ 9] Blk# 400, [ratio/avg. 36%/ 42%], avg.speed: 8759978 b/s, ETA: 16065959s 7.82% done, estimate finish Mon May 15 15:23:17 2023 [ 9] Blk# 500, [ratio/avg. 41%/ 41%], avg.speed: 10944512 b/s, ETA: 12859180s [ 9] Blk# 600, [ratio/avg. 33%/ 41%], avg.speed: 13129045 b/s, ETA: 10719549s 10.43% done, estimate finish Mon May 15 15:23:11 2023 [ 9] Blk# 700, [ratio/avg. 35%/ 41%], avg.speed: 11485184 b/s, ETA: 12253825s 13.04% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 800, [ratio/avg. 37%/ 41%], avg.speed: 13123584 b/s, ETA: 10724009s [ 9] Blk# 900, [ratio/avg. 31%/ 41%], avg.speed: 14761984 b/s, ETA: 9533774s 15.64% done, estimate finish Mon May 15 15:23:17 2023 [ 9] Blk# 1000, [ratio/avg. 36%/ 40%], avg.speed: 13120307 b/s, ETA: 10726686s 18.25% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 1100, [ratio/avg. 44%/ 41%], avg.speed: 14431027 b/s, ETA: 9752418s [ 9] Blk# 1200, [ratio/avg. 33%/ 42%], avg.speed: 13118122 b/s, ETA: 10728471s 20.86% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 1300, [ratio/avg. 43%/ 42%], avg.speed: 14210389 b/s, ETA: 9903838s 23.46% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 1400, [ratio/avg. 40%/ 42%], avg.speed: 15302656 b/s, ETA: 9196926s [ 9] Blk# 1500, [ratio/avg. 36%/ 41%], avg.speed: 14052790 b/s, ETA: 10014906s 26.07% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 1600, [ratio/avg. 41%/ 41%], avg.speed: 14989019 b/s, ETA: 9389365s [ 9] Blk# 1700, [ratio/avg. 38%/ 41%], avg.speed: 15925248 b/s, ETA: 8837374s 28.68% done, estimate finish Mon May 15 15:23:12 2023 [ 9] Blk# 1800, [ratio/avg. 45%/ 40%], avg.speed: 14753792 b/s, ETA: 9539064s 31.28% done, estimate finish Mon May 15 15:23:14 2023 [ 9] Blk# 1900, [ratio/avg. 40%/ 40%], avg.speed: 15572992 b/s, ETA: 9037271s [ 9] Blk# 2000, [ratio/avg. 33%/ 40%], avg.speed: 14570837 b/s, ETA: 9658837s 33.89% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 2100, [ratio/avg. 34%/ 40%], avg.speed: 15299015 b/s, ETA: 9199111s 36.49% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 2200, [ratio/avg. 43%/ 40%], avg.speed: 16027192 b/s, ETA: 8781159s [ 9] Blk# 2300, [ratio/avg. 34%/ 40%], avg.speed: 15079833 b/s, ETA: 9332817s 39.10% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 2400, [ratio/avg. 39%/ 40%], avg.speed: 15735193 b/s, ETA: 8944111s 41.71% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 2500, [ratio/avg. 39%/ 40%], avg.speed: 16390553 b/s, ETA: 8586489s [ 9] Blk# 2600, [ratio/avg. 41%/ 40%], avg.speed: 15496285 b/s, ETA: 9082003s 44.32% done, estimate finish Mon May 15 15:23:14 2023 [ 9] Blk# 2700, [ratio/avg. 40%/ 40%], avg.speed: 16092066 b/s, ETA: 8745757s [ 9] Blk# 2800, [ratio/avg. 35%/ 40%], avg.speed: 15297194 b/s, ETA: 9200203s 46.93% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 2900, [ratio/avg. 38%/ 40%], avg.speed: 15843328 b/s, ETA: 8883064s 49.53% done, estimate finish Mon May 15 15:23:14 2023 [ 9] Blk# 3000, [ratio/avg. 28%/ 40%], avg.speed: 16389461 b/s, ETA: 8587060s [ 9] Blk# 3100, [ratio/avg. 40%/ 40%], avg.speed: 15632856 b/s, ETA: 9002659s 52.14% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 3200, [ratio/avg. 42%/ 40%], avg.speed: 16136979 b/s, ETA: 8721413s 54.74% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 3300, [ratio/avg. 39%/ 40%], avg.speed: 15452452 b/s, ETA: 9107762s [ 9] Blk# 3400, [ratio/avg. 67%/ 40%], avg.speed: 15920566 b/s, ETA: 8839965s 57.35% done, estimate finish Mon May 15 15:23:14 2023 [ 9] Blk# 3500, [ratio/avg. 39%/ 40%], avg.speed: 15296102 b/s, ETA: 9200857s 59.96% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 3600, [ratio/avg. 38%/ 40%], avg.speed: 15733009 b/s, ETA: 8945348s [ 9] Blk# 3700, [ratio/avg. 56%/ 40%], avg.speed: 16169915 b/s, ETA: 8703647s 62.56% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 3800, [ratio/avg. 19%/ 39%], avg.speed: 15568896 b/s, ETA: 9039641s [ 9] Blk# 3900, [ratio/avg. 47%/ 39%], avg.speed: 15978496 b/s, ETA: 8807914s 65.17% done, estimate finish Mon May 15 15:23:15 2023 [ 9] Blk# 4000, [ratio/avg. 17%/ 39%], avg.speed: 16388096 b/s, ETA: 8587771s 67.77% done, estimate finish Mon May 15 15:23:14 2023 [ 9] Blk# 4100, [ratio/avg. 58%/ 39%], avg.speed: 16797696 b/s, ETA: 8378364s [ 9] Blk# 4200, [ratio/avg. 56%/ 39%], avg.speed: 16195102 b/s, ETA: 8690109s 70.38% done, estimate finish Mon May 15 15:23:14 2023 [ 9] Blk# 4300, [ratio/avg. 28%/ 39%], avg.speed: 16580608 b/s, ETA: 8488060s 72.99% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 4400, [ratio/avg. 58%/ 39%], avg.speed: 16966113 b/s, ETA: 8295193s [ 9] Blk# 4500, [ratio/avg. 49%/ 39%], avg.speed: 17351619 b/s, ETA: 8110896s 75.60% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 4600, [ratio/avg. 51%/ 39%], avg.speed: 17737125 b/s, ETA: 7934610s 78.20% done, estimate finish Mon May 15 15:23:12 2023 [ 9] Blk# 4700, [ratio/avg. 26%/ 39%], avg.speed: 17115818 b/s, ETA: 8222637s [ 9] Blk# 4800, [ratio/avg. 53%/ 39%], avg.speed: 17479907 b/s, ETA: 8051368s 80.81% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 4900, [ratio/avg. 49%/ 39%], avg.speed: 17843996 b/s, ETA: 7887087s 83.41% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 5000, [ratio/avg. 34%/ 39%], avg.speed: 17249765 b/s, ETA: 8158787s [ 9] Blk# 5100, [ratio/avg. 27%/ 39%], avg.speed: 17594691 b/s, ETA: 7998841s 86.02% done, estimate finish Mon May 15 15:23:12 2023 [ 9] Blk# 5200, [ratio/avg. 7%/ 39%], avg.speed: 17939617 b/s, ETA: 7845047s 88.63% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 5300, [ratio/avg. 56%/ 39%], avg.speed: 17370316 b/s, ETA: 8102163s [ 9] Blk# 5400, [ratio/avg. 53%/ 40%], avg.speed: 17697996 b/s, ETA: 7952150s 91.24% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 5500, [ratio/avg. 43%/ 40%], avg.speed: 17167311 b/s, ETA: 8197971s [ 9] Blk# 5600, [ratio/avg. 40%/ 40%], avg.speed: 17479387 b/s, ETA: 8051604s 93.84% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 5700, [ratio/avg. 50%/ 40%], avg.speed: 16982760 b/s, ETA: 8287057s 96.45% done, estimate finish Mon May 15 15:23:13 2023 [ 9] Blk# 5800, [ratio/avg. 42%/ 40%], avg.speed: 17280651 b/s, ETA: 8144201s [ 9] Blk# 5900, [ratio/avg. 38%/ 40%], avg.speed: 16814258 b/s, ETA: 8370104s 99.05% done, estimate finish Mon May 15 15:23:14 2023 Total translation table size: 0 Total rockridge attributes bytes: 269962 Total directory bytes: 716800 Path table size(bytes): 3000 Max brk space used 2d8000 191820 extents written (374 MB) Statistics: gzip(0): 0 ( 0%) gzip(1): 0 ( 0%) gzip(2): 0 ( 0%) gzip(3): 0 ( 0%) gzip(4): 0 ( 0%) gzip(5): 0 ( 0%) gzip(6): 0 ( 0%) gzip(7): 0 ( 0%) gzip(8): 0 ( 0%) gzip(9): 5995 (1e+02%) 7zip: 0 ( 0%) Writing index for 5995 block(s)... Writing compressed data... >>> Generating filesystem image rootfs.ubifs mkdir -p /home/autobuild/autobuild/instance-11/output-1/images rm -rf /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs mkdir -p /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs rsync -auH --exclude=/THIS_IS_NOT_YOUR_ROOT_FILESYSTEM /home/autobuild/autobuild/instance-11/output-1/target/ /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target echo '#!/bin/sh' > /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot echo "set -e" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot echo "chown -h -R 0:0 /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot PATH="/home/autobuild/autobuild/instance-11/output-1/host/bin:/home/autobuild/autobuild/instance-11/output-1/host/sbin:/home/autobuild/make:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" /home/autobuild/autobuild/instance-11/buildroot/support/scripts/mkusers /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_users_table.txt /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot echo "/home/autobuild/autobuild/instance-11/output-1/host/bin/makedevs -d /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_devices_table.txt /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot printf ' rm -rf /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target/usr/lib/udev/hwdb.d/ /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target/etc/udev/hwdb.d/\n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot echo "find /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target/run/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot echo "find /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target/tmp/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot printf ' /home/autobuild/autobuild/instance-11/output-1/host/sbin/mkfs.ubifs -d /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target -e 0x1f800 -c 2048 -m 0x800 -x none -o /home/autobuild/autobuild/instance-11/output-1/images/rootfs.ubifs\n' >> /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot chmod a+x /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot PATH="/home/autobuild/autobuild/instance-11/output-1/host/bin:/home/autobuild/autobuild/instance-11/output-1/host/sbin:/home/autobuild/make:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" FAKEROOTDONTTRYCHOWN=1 /home/autobuild/autobuild/instance-11/output-1/host/bin/fakeroot -- /home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/fakeroot rootdir=/home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/ubifs/target table='/home/autobuild/autobuild/instance-11/output-1/build/buildroot-fs/full_devices_table.txt' Error: max_leb_cnt too low (3104 needed) make: *** [fs/ubifs/ubifs.mk:49: /home/autobuild/autobuild/instance-11/output-1/images/rootfs.ubifs] Error 255 make: Leaving directory '/home/autobuild/autobuild/instance-11/buildroot'